Static and Dynamic Virtual Channel Allocation for High Performance, In-order Communication in On-chip Networks

Static and Dynamic Virtual Channel Allocation for High Performance, In-order Communication in On-chip Networks
Author :
Publisher :
Total Pages : 67
Release :
ISBN-10 : OCLC:681916763
ISBN-13 :
Rating : 4/5 (63 Downloads)

Book Synopsis Static and Dynamic Virtual Channel Allocation for High Performance, In-order Communication in On-chip Networks by : Keun Sup Shim

Download or read book Static and Dynamic Virtual Channel Allocation for High Performance, In-order Communication in On-chip Networks written by Keun Sup Shim and published by . This book was released on 2010 with total page 67 pages. Available in PDF, EPUB and Kindle. Book excerpt: Most routers in on-chip interconnection networks (OCINs) have multiple virtual channels (VCs) to mitigate the effects of head-of-line blocking. Multiple VCs necessitate VC allocation schemes since packets or flows must compete for channels when there are more flows than virtual channels at a link. Conventional dynamic VC allocation, however, raises two critical issues. First, it still suffers from a fair amount of head-of-line blocking since all flows can be assigned to any VC within a link. Moreover, dynamic VC allocation compromises the guarantee of in-order delivery even when used with basic variants of dimension-ordered routing, requiring large reorder buffers at the destination core or, alternatively, expensive retransmission logic. In this thesis, we present two virtual channel allocation schemes to address these problems: Static Virtual Channel Allocation and Exclusive Dynamic Virtual Channel Allocation (EDVCA). Static VC allocation assigns channels to flows by precomputation when oblivious routing is used, and ensures deadlock freedom for arbitrary minimal routes when two or more VCs are available. EDVCA, on the other hand, is done at runtime, not requiring knowledge of traffic patterns or routes in advance. We demonstrate that both static VCA and EDVCA guarantee in-order packet delivery under single path routing, and furthermore, that they both outperform dynamic VC allocation (out-of-order) by effectively reducing head-of-line blocking. We also introduce a novel bandwidth-sensitive oblivious routing scheme (BSORM), which is deadlock-free through appropriate static VC allocation. Implementation for these schemes requires only minor, inexpensive changes to traditional oblivious dimension-ordered router architectures, more than offset by the removal of packet reorder buffers and logic.


Static and Dynamic Virtual Channel Allocation for High Performance, In-order Communication in On-chip Networks Related Books

Static and Dynamic Virtual Channel Allocation for High Performance, In-order Communication in On-chip Networks
Language: en
Pages: 67
Authors: Keun Sup Shim
Categories:
Type: BOOK - Published: 2010 - Publisher:

DOWNLOAD EBOOK

Most routers in on-chip interconnection networks (OCINs) have multiple virtual channels (VCs) to mitigate the effects of head-of-line blocking. Multiple VCs nec
Data Engineering and Communication Technology
Language: en
Pages: 682
Authors: K. Ashoka Reddy
Categories: Computers
Type: BOOK - Published: 2021-05-23 - Publisher: Springer Nature

DOWNLOAD EBOOK

This book includes selected papers presented at the 4th International Conference on Data Engineering and Communication Technology (ICDECT 2020), held at Kakatiy
Investigating the Effect of Virtual Channel on the Performance of Network-on-chip
Language: en
Pages:
Authors: Adnan Ahmad
Categories:
Type: BOOK - Published: 2017 - Publisher:

DOWNLOAD EBOOK

Network-on-Chip(NoC) is the communication backbone in domain of of multi-core processor systems. As the number of cores in conventional bus based architecture i
A Verilog-hdl Implementation of Virtual Channels in a Network-on-chip Router
Language: en
Pages:
Authors: Sungho Park
Categories:
Type: BOOK - Published: 2010 - Publisher:

DOWNLOAD EBOOK

As the feature size is continuously decreasing and integration density is increasing, interconnections have become a dominating factor in determining the overal
Autonomic Networking-on-Chip
Language: en
Pages: 286
Authors: Phan Cong-Vinh
Categories: Computers
Type: BOOK - Published: 2018-09-03 - Publisher: CRC Press

DOWNLOAD EBOOK

Despite the growing mainstream importance and unique advantages of autonomic networking-on-chip (ANoC) technology, Autonomic Networking-On-Chip: Bio-Inspired Sp